工控网首页
>

应用设计

>

基于FPGA和DSP技术的PWM机床电力拖动系统的实现

基于FPGA和DSP技术的PWM机床电力拖动系统的实现

2009/12/31 14:55:00
1 引言
       在现代机床生产中,一般采用多电机拖动,主轴和各进给系统分别由各自的电机来拖动,不仅简化了机床的机械传动机构,还可以使各拖动系统选择合适速度进行加工,从而提高加工精度。由于机床加工范围较广,不同的工件,不同的工序,使用不同的刀具,要求机床执行部件具有不同的运动速度,因此机床的主运动和进给运动应能进行调速,传统的方法有有极和无级调速之分,有级调速通常不能满足加工精度和要求。机床的进给拖动是加工精度的关键,而无级调速能在一定范围内调速满足机床拖动系统的要求。本文基于PWM技术,利用单片FPGA组成控制系统,使电路复杂、可靠性差。本文介绍了一种用FPGA和DSP技术实现的三相PWM发生器,它具有三相脉冲中心对称、PWM周期和死区时间可编程等特点,且性能优异、灵活性和可靠性高等特点。为快速、高精度高性能的机床电力拖动系统的研究提出了新的思路。

2 硬件电路结构特点及组成
      本系统采用DSP和FPGA作为PWM的逆变控制核心。FPGA的积木史结构决定其具有很快的速度,不象单片机那样需要一条一条指令循环运行,而有点类似于模拟器件,输入变化后,输出几乎立即响应(大约几个ns)。同时,在全数字交流变频调速系统中,由于A/D等外围采样器件速度慢、电流和速度的数字控制的开销等原因,系统的控制周期较长,常常达到几百μs,乃至几ms。一般情况下, PWM调制周期T就是系统的工作周期。而采用了DSP和FPGA后,我们就可以减小PWM调制周期,从而改善系统的性能。


2.1 DSP的结构特点
      DSP是一种具有特殊结构的微处理器。DSP芯片内部采用程序和数据分开的哈佛结构,具有专门的件乘法器,广泛采用流水线操作,提供特殊的DSP指令,可以用来快速地实现各种数字信号处理算法。据数字信号处理的要求,DSP芯片一般具有如下的些主要特点:(1)在一个指令周期内可完成一次乘法和一次加法;(2)程序存储器和数据存储器是两个相互独立的存储器,每个存储器独立编址,可以同时访问指令和数据;(3)片内具有快速RAM,通常可通过独立的数据总线在两块中同时访问;(4)具有低开销或无开销循环及跳转的硬件支持;(5)快速的中断处理和硬件I/O支持;(6)具有在单周期内操作的多个硬件地址产生器;(8)可以并行执行多个操作;(9)支持流水线操作,使取指、译码和执行等操作可以重叠执行。


2.2 FPGA 的结构特点
      FPGA的结构是由基于半定制门阵列的设计思想而得到的。从本质上讲,FPGA是一种比半定制还方便的ASIC(Application Specific Integrated Circuit专用集成电路)设计技术。FPGA的结构主要分为三部分:可编程逻辑块、可编程I/O模块、可编程内部连线。可编程逻辑块和可编程互连资源的构造主要有两种类型:即查找表类型和多路开关型。查找表型FPGA的可编程逻辑单元是由功能为查找表的SRAM(Static Random Access Memory静态随机存取存储器)构成函数发生器,由它来控制执行FPGA应用函数的逻辑。SDRAM的输出为逻辑函数的值,由此输出状态控制传输门或多路开关信号的通断,实现与其它功能块的可编程连接。多路开关型可编程逻辑块的基本构成是一个多路开关的配置。利用多路开关的特性,在多路开关的每个输入接到固定电平或输入信号时,可实现不同的逻辑功能。大量的多路开关和逻辑门连接起来,可以构成实现大量函数的逻辑块。FPGA由其配置机制的不同分为两类:可再配置型和一次性编程型。近几年来,FPGA因其具有集成度高、处理速度快以及执行效率高等优点,在数字系统的设计中得到了广泛应用。
2.3 系统电路组成
       系统可由FPGA口输出6路SPWM的驱动信号。系统由交-直-交主电路、基极驱动电路、FPGA控制系统、SPWM信号形成电路、电压显示、频率显示以及保护等电路组成。系统的硬件电路如图1所示。
图
图 1 基于DSP和FPGA的PWM的控制系统

3 系统原理
       用FPGA实现的目的是产生三相逆变器的PWM信号波形。它主要由脉宽寄存器、缓冲寄存器、周期寄存器、死区寄存器、死区发生器、数值比较器、控制逻辑等几部分构成。脉宽寄存器,决定三相PWM信号的脉宽;缓冲寄存器,实现对脉宽数据的双缓冲;周期寄存器,决定PWM的斩波周期;死区寄存器,决定上下桥臂的死区时间。脉宽寄存器在每个开关周期中由微处理器更新一次,其输出数据经缓冲以后与基准计数器进行数值比较,得到三相PWM信号PA、PB、PC。再经过死区电路处理,最后产生6个中心对称的PWM驱动信号,驱动三相逆变器的6个功率器件。PWM算法可采用SPWM(正弦PWM)。
       FPGA中的基准计数器,用来产生类似模拟电路的三角波基准,是一个最小计数值为0、最大计数为周期寄存器中保存的数值、计数方向交替变化的逆计数器。基准计数器单元在最大计数值时产生一同步信号SYN,当它有效时将3个脉宽寄存器的数存入各自的缓冲寄存器,实现双缓冲,使3个脉宽寄存器在SYN无效时可依次由微处理器更新而不影响最终的三相同步关系。同时基准计数器单元产生一方向信号DIR,可作为微处理器的外部中断源(边触发方式),在PWM开关周期的起始点产生中断。微处理器软件设计较简单,在初始化阶段设置好周期寄存器、死区寄存器,以后只需在PWM中断服务序中将计算好的三相脉宽数据分别送到各自的脉寄存器,然后退出中断服务程序,等待控制器在SYN脉冲控制下将3个脉宽寄存器的数据锁存到各自的缓冲寄存器中。在下一个PWM周期中输出相应脉冲,同时中断被触发,便开始了下一个PWM中断服务程序。程序要求PWM中断服务程序运行时间小PWM周期,由此决定了PWM最高运行频率。

4 系统设计
       控制器由DSP子系统、FPGA子系统、信号调理比较子系统复合组成,信号调理比较子系统将电压、电流传感器信号放大后供DSP子系统AD采样,同时将各相电流信号与DSP子系统DA送出的斩波电流上下限进行模拟比较,并将比较结果(高低电平)送入FPGA子系统。FPGA系统负责将转速信号合成(数字量)送入DSP,根据DSP发出的电机状态指令信号、位置信号、斩波比较信号进行逻辑合成,产生所有功率开关管的控制信号。DSP系统接受转速指令、开机指令、电压电流反馈、转速指令信号,决定电机状态和斩波上下限,负责状态限时、报警、数据显示、反馈转速信号输出。控制器软件系统分为DSP软件和FPGA软件, 互相协调工作并且互相监视。

图2
图2 FPGA设计的过程

      DSP软件分为:初始化、定时中断实时控制、液晶显示、键盘处理、掉电应急5个部分。
在设计时,我们只要把FPGA和外围器件连接起来,然后再去设计FPGA的程序,以实现各种功能,而不象原来的控制系统,首先考虑功能,再去设计硬件。并且克服了由于设计错误、功能改变等原因,只得重新设计硬件。而使用FPGA,只要改变FPGA的程序即可。在开发FPGA的过程中,只需按照如图2所示的流程图,得到门级网表,再由JATG口烧入FPGA中。


5 结束语
      DSP和FPGA是一种非常灵活的设计,有较强的同用性,适合于模块化设计,从而提高算法效率FPGA可以完成高速数据的收发,而DSP则完成复杂协议的处理,而且它们都可以无限次的重复编程。DSP和FPGA输出的SPWM信号可使硬件电路简化,系统的功率因数与效率大大提高。同时采用高频调制后可获得高质量的输出电流波形,抑制了高次谐波,使换能器损耗减小,从而能满足高质量的数控加工。FPGA的无限次重复现场编程、I/O口可任意配置而且在片内可以加上拉电阻和下拉电阻,提高驱动能力、编程语言丰富、集成度高、开发周期短和速度快。FPGA的应用还极大地简化了系统软件的复杂程度,使系统结构更加紧凑、可靠。FPGA的这些优点必将在数字化交流变频系统中,有着广阔的应用前景。

参考文献
[1] 郑忠杰等. 电力电子变流技术[M]. 北京:机械工业出版社,1999.
[2] 徐 进. 基于80C51SPWM机床电力拖动系统的研究[J]. 机床电器,2002,(5).
[3] 朱家建. 单片机与可编程控制器[M]. 北京:高等教育出版社, 1998.
[4]徐 进,李 林. 基于Visual C++软件的变频器通讯程序的研究[J]. 组合机床与自动加工技术,2002,(9).
[5] 彭启琮,李玉柏,管 庆. DSP技术的发展与应用[M]. 北京:高等教育出版社, 2002.

作者简介
徐 进(1970-) 男 硕士 研究方向为电力电子、检测技术以及网络通讯。


信息来源于:变频器世界
投诉建议

提交

查看更多评论
其他资讯

查看更多

助力企业恢复“战斗状态”:MyMRO我的万物集·固安捷升级开工场景方案

车规MOSFET技术确保功率开关管的可靠性和强电流处理能力

未来十年, 化工企业应如何提高资源效率及减少运营中的碳足迹?

2023年制造业“开门红”,抢滩大湾区市场锁定DMP工博会

2023钢铁展洽会4月全新起航 将在日照触发更多商机