工控网首页
>

新闻中心

>

业界动态

>

全球半导体设备厂商 TOP 12强以及大陆TOP 10强盘点!

全球半导体设备厂商 TOP 12强以及大陆TOP 10强盘点!

2018/10/24 17:20:20

1

Applied Materials(应用材料)

应用材料公司是一家半导体和显示制造设备商,应用材料公司成立于1967年,2017财年,应用材料公司营业额达到145亿美元,在17个国家设有90个分支机构,全球员员工人数18400人。拥有超过11,900专利。

1984年,应用材料公司在北京设立了中国客服中心,成为第一家进入中国的国际芯片制造设备公司。通过长期参与中国的高科技制造业,应用材料公司为半导体、先进显示以及太阳能光伏制造行业提供设备与服务。

作为一家老牌的美国半导体设备商,应用材料(AMAT)是全球最大的半导体设备公司,产品横跨CVD、 PVD、刻蚀、CMP、RTP等除光刻机外的几乎所有半导体设备。在全球晶圆处理设备供应商中排名第一,应用材料市占率19%左右,其中,在PVD领域,应用材料占据了近85%的市场份额,CVD占30%。

2

Lam Research(泛林)

LamResearch是刻蚀机设备领域龙头。Lam Research是向世界半导体产业提供晶圆制造设备和服务的主要供应商之一。主要从事半导体生产设备、开发、制造、销售及售后服务。公司的产品有等离子刻蚀机,化学机械抛光及清洗设备等。总部设在加州硅谷,是一家在NASDAQ上市公司。公司在世界各地设立了40多个分公司和客户服务中心。镁光科技、三星电子、SK 海力士等都是其主要客户。

3

Tokyo Electron(东京电子)

Tokyo Electron LTD (简称TEL)成立于1963年,是全球领先的半导体制造设备和液晶显示器设备的制造商。东京电子是日本IC和PFD设备最大制造商,也是世界第三大IC和PFD设备制造商。

东京电子在半导体及液晶显示器的制造设备方面,TEL对全球电子产业的发展做出了巨大的贡献。TEL集团遍布全球,在日本、美国、欧洲、台湾、韩国及中国等地都建立了自己的网点。

东京电子的膜沉积和蚀刻设备营收在2016年涨幅超大大,这两种设备是用在生产大容量的 3D存储器。

公司在 2018 财年营业收入增长 37.96%,净利润增长 73.09%。公司十分注重研发投入, 2018 财年的计划研发费用约 1200 亿日元(约合 80 亿人民币),设备投资 510 亿日元(约合 30 亿人民币),

4

ASML(阿斯麦)

ASML (全称: Advanced Semiconductor Material Lithography), 目前该全称己不做为公司标识使用,公司的注册标识为ASML Holding N.V),中文名称为阿斯麦(中国大陆)、艾司摩尔(中国台湾)。是总部设在荷兰Veldhoven的全球最大的半导体设备制造商之一。

ASML为半导体生产商提供光刻机及相关服务,TWINSCAN系列是目前世界上精度最高,生产效率最高,应用最为广泛的高端光刻机型。目前全球绝大多数半导体生产厂商,都向ASML采购TWINSCAN机型,例如英特尔(Intel),三星(Samsung),海力士(Hynix),台积电(TSMC),中芯国际(SMIC)等。

目前,荷兰ASML几乎垄断了高端领域的光刻机,市场份额高达80%。全球只有ASML能够生产EUV(极紫外光刻机),ASML新出的EUV光刻机可用于试产7nm制程,价格高达1亿美元。

5

KLA-Tencor(科天)

KLA-Tencor于1997年4月通过 KLA Instruments (KLA)和 Tencor Instruments (Tencor)合并成立。 这两家公司一直是半导体设备和良率管理软件系统行业的长期领跑者。 在合并之前,两家企业分别致力于检测和量测领域,KLA专注于缺陷检测解决方案, 而Tencor则致力于量测解决方案。 通过价值13亿美元的一比一股票互换合并, KLA-Tencor 一举成为行业顶尖的工艺管控供应商,并且独家为客户提供完整的良率管理系列产品及服务。

KLA-Tencor公司是工艺控管和良率管理解决方案业界领跑者, 与世界各地的客户合作开发最先进的检测和量测技术。 这些技术致力于半导体, LED等相关纳米电子工业。

科磊自成立起便深耕于半导体前道检测设备行业, 目前其产品种类已经覆盖加工工艺环节的各类前道光学、电子束量检测设备。 凭借其检测产品高效、精确的性能特点,科磊以52%的市场份额在前端检测设备行业内具有绝对的龙头地位。

6

Screen Semiconductor Solutions(迪恩士)

迪恩士(SCREEN)总部位于日本。成立于1868年,于1975年开发出晶圆刻蚀机,正式开启半导体设备制造之路。在随后的40多年里,迪恩士专注于半导体制造设备,尤其是清洗设备的研发与推广,开发出了适应于多种环境的各类清洗设备,并在半导体清洗的三个主要领域均获得第一的市场占有率。

迪恩士有4个主要的业务方向,半导体制造设备、图像情报处理机器、 液晶制造设备、印刷电路板设备。半导体制造设备包括清洁、涂布和退火设备,半导体制造设备是该公司收入的主要部分,2017年占总收入的66.7%。从2016年财年来看,半导体制造设备中,清洗设备收入占该业务收入的90%。

迪恩士不仅在半导体清洗设备,也在图像情报处理机器和液晶制造设备行业拥有龙头地位。 在图像情报处理机器领域,该公司的脱机直接印版(CTP技术)设备市场占有率为31%,为全球第一位。而在液晶制造设备领域, 液晶涂布机的市场占有率为71%,也为全球第一。

7

SEMES(细美事)

SEMES成立于1993年,是半导体和FPD两个事业为主的综合设备厂商,于2004年建立TFT LCD设备生产为目的的第三工厂。Semes是韩国最大的预处理半导体设备与显示器制造设备生产商,可称其为韩国半导体设备厂第一大厂,主要生产清洗、光刻和封装设备。

8

Hitachi High-Technologies(日立高新)

日立高新(Hitachi-High Technologies)成立于2001年,由Hitachi Ltd. Instruments Group和Semiconductor Manufacturing Equipments Group与Nissei Sangyo Co.,Ltd。(一家专注于电子产品的公司)合并而成。

日立高新生产的设备包括:半导体制造设备,如芯片贴片机和蚀刻和检测系统; 分析和临床仪器,如电子显微镜和DNA测序仪; 平板显示器(FPD),液晶显示器(LCD)和硬盘的制造设备; 计量和检查设备。该公司还销售钢铁,塑料,硅芯片,精细化学品,光学元件以及汽车相关设备和材料。日立高科技在日本的销售额占42%。日立拥有该公司近52%的股份。

在半导体设备方面,日立高新主要生产沉积、刻蚀、检测设备,以及封装贴片设备等。

9

Hitachi Kokusai(日立国际电气)

Hitachi Kokusai Electric于2000年10月成立,由三家日立集团公司合并而成,这些公司从事与视频,无线通信和半导体制造设备相关的业务。国际电气:从事无线通信设备与半导体制作,1949年设立;日立电子:从事无线通信设备与映像设备制作,1948年设立;八木天线(Yagi Antenna),由发明八木天线的八木秀次博士于1952年成立,拥有天线专利。

该公司生产的半导体设备主要是热处理设备。

10

Daifuku(大幅)

Daifuku(大福)(集团)公司自1937年成立以来,始终致力于物料搬运技术与设备的开发、研究。

大福(集团)有六项主要业务:制造业及流通产业;半导体、液晶制造业;汽车制造业;机场专用系统;洗车机及相关产品;电子产品。

其中在半导体、液晶制造业中,面向半导体、液晶制造业提供自动化洁净室输送、存储系统。该系统在生产智能手机和平板电脑所需的半导体和液晶显示器的过程中起着至关重要的作用,目前已在北美、韩国、中国和台湾等国家和地区获得了可观的销售业绩。

12下一页>

       

半导体盘点

               

11

ASM International(先域)

ASMI(ASM International)总部位于荷兰阿尔默勒,在阿姆斯特丹泛欧证券交易所上市。制造业设在新加坡和韩国。

ASMI主要生产光刻,沉积,离子注入和单晶圆外延设备,擅长是原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)产品。

ASM是ASM International NV集团的一部分,该集团还包括ASM Pacific Technology(ASMPT)。

ASM是晶圆加工半导体工艺设备的领先供应商。公司开创了许多工业中使用的晶圆加工技术的重要方面,包括光刻,沉积,离子注入和单晶圆外延。近年来,公司将R&D的原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)直接引入先进制造商的主流生产。

ASMP拥有大约2%的大部分所有权,是晶圆组装和封装以及表面贴装技术的半导体工艺设备的领先供应商。

12

Nikon(尼康)

尼康成立于1917年,最早通过相机和光学技术发家,1980年开始半导体光刻设备研究,1986年推出第一款FPD光刻设备,如今业务线覆盖范围广泛。尼康既是半导体和面板光刻设备制造商,同时还生产护目镜,眼科检查设备,双筒望远镜,显微镜,勘测器材等健康医疗和工业度量设备。

在FPD光刻方面,尼康则可发挥其比较优势,尼康的机器范围广泛,从采用独特的多镜头投影光学系统处理大型面板到制造智能设备中的中小型面板,提供多样化的机器。

尼康虽然在芯片光刻技术上远不及ASML,目前的产品还停留在ArF和KrF光源,且售价也远低于ASML,和EUV更加难以相提并论。但目前,其盈利性也很大程度上依赖光刻设备,尤其是芯片光刻设备,2017年光刻设备营收占比高达33%。

尼康的研发投入也持续增长,但其中对于光刻设备的投入比重却在下降。从2008年260亿日元一路下降至2017年160亿日元。

注:中国本土厂商的半导体设备,只占全球市场份额的1~2%。

1

中电科:在离子注入机和CMP(化学机械抛光机)领域能力较强

北京中电科电子装备有限公司,隶属于中国电子科技集团(世界500强),是由电科装备全资控股的国家火炬计划重点高新技术企业,地处北京亦庄经济技术开发区。北京中电科致力于电子封装成套装备、自动化装备、智能制造装备的研发、制造与市场服务以及晶圆封装代工服务。

公司自主研发的晶圆划切设备、倒装设备、分选设备、压焊设备、晶圆减薄设备已广泛应用于集成电路(IC)、半导体照明(LED)、微机电系统(MEMS)、分立器件、太阳能等国内龙头封装企业。

离子注入机和CMP(化学机械抛光机)领域能力较强:

>离子注入机:2016年推出的45-22nm低能大束流离子注入机在2017年也在中芯国际产线进行验证,验证通过后,将会批量出货,进一步提高中芯国际产线离子注入机国产化率。

>CMP:2017年11月21日,电科装备自主研发的200mmCMP商用机完成内部测试,发往中芯国际天津公司进行上线验证,这是国产200mmCMP设备首次进入集成电路大生产线。

2

晶盛机电:在半导体级8英寸单晶炉领域已成功实现进口替代

浙江晶盛机电股份有限公司创建于2006年12月,是一家以“发展绿色智能高科技制造产业”为使命的高端半导体装备和LED衬底材料制造的高新技术企业。公司于2012年5月在创业板上市(证券代码:300316),下属9家子公司,3个研发中心,其中一个海外研发中心,拥有工业4.0方向的省级重点研究院、省级晶体装备研究院等研究平台、博士后工作站。

公司以技术创新作为持续发展的动力源泉。相继开发出具有完全自主知识产权的全自动单晶炉、多晶铸锭炉、区熔硅单晶炉、蓝宝石炉,成功开发并销售多种光伏智能化装备,并布局高效光伏电池和组件等其他装备的研发,努力打造光伏产业链装备最齐全、技术最强的装备龙头企业;在半导体产业实现8-12英寸大硅片制造用晶体生长及核心加工装备的国产化;成功掌握国际领先的超大尺寸300kg、450kg级蓝宝石晶体生长技术,蓝宝石材料业务具备较强的成本竞争力并逐步形成规模优势;在工业4.0方向,公司为光伏产业、半导体产业和LED产业提供智能化工厂解决方案,满足了客户对“网络化+智能制造”“机器换人”的生产技术需求。

3

捷佳伟创:产品主要应用于光伏产业

深圳市捷佳伟创新能源装备股份有限公司是一家高速发展的新能源装备研发制造企业。2003年,公司的前身创立于广东省深圳市。公司在深圳坪山在建六万多平方米工业园,在常州新北区自建三万多平方米工业园。公司员工总数超过1400人。

公司的产品包括单/多晶制绒设备、管式扩散氧化退火炉、湿法刻蚀设备、管式等离子体淀积炉、智能自动化设备等五大产品系列。

4

北方华创:在氧化炉、刻蚀机、薄膜沉积设备和清洗设备领域能力较强

北方华创科技集团股份有限公司是由北京七星华创电子股份有限公司和北京北方微电子基地设备工艺研究中心有限责任公司战略重组而成,是目前国内集成电路高端工艺装备的领先企业。

北方华创拥有半导体装备、真空装备、新能源锂电装备及精密元器件四个事业群,为半导体、新能源、新材料等领域提供解决方案。公司现有四大产业制造基地,营销服务体系覆盖欧、美、亚等全球主要国家和地区。

氧化炉、刻蚀机、薄膜沉积设备和清洗设备领域能力较强

>氧化炉:2017年11月30日,北方华创下属子公司北方华创微电子自主研发的12英寸立式氧化炉THEORISO302MoveIn长江存储生产线,应用于3DNANDFlash制程,扩展了国产立式氧化炉的应用领域。

>刻蚀机:2016年研发出了14nm工艺的硅刻蚀机,目前正在中芯国际研发的14nm工艺上验证使用。2017年11月,研发的中国首台适用于8英寸晶圆的金属刻蚀机成功搬入中芯国际的产线。

>薄膜沉积设备:28nm级别的PVD设备和单片退火设备领域实现了批量出货,14nm级别的ALD,ALPVD,LPCVD,HMPVD等多种生产设备正在产线验证中。

>清洗机:自研的12英寸单片清洗机产品主要应用于集成电路芯片制程,2017年8月7日成功收购Akrion公司后,北方华创微电子的清洗机产品线将得以补充,形成涵盖应用于集成电路、先进封装、功率器件、微机电系统和半导体照明等半导体领域的8-12英寸批式和单片清洗机产品线。

5

中微半导体:在介质刻蚀机、硅通孔刻蚀机以及LED用MOCVD领域能力较强

中微的设备用于创造世界上最为复杂、精密的技术:微小的纳米器件为创新型产品提供智能和存储功能,从而改善人类的生活、实现全球的可持续发展。中微总部位于亚洲。作为世界制造创新中心,亚洲具有得天独厚的优势:极具活力的供应链大大提高了中微的运营效率。

介质刻蚀机、硅通孔刻蚀机以及LED用MOCVD领域能力较强

>介质刻蚀机:目前已经可以做到22nm及其以下,14nm也在产线进行验证,同时在推进5nm的联合研究。

>硅通孔刻蚀机:主要用于集成电路芯片的TSV先进封装。

>MOCVD:公司的MOCVD达到世界先进水平,实现了对美国的VEECO和德国的爱思强产品的进口替代,客户为三安光电等led芯片厂商。截止2017年10月,其MOCVD设备PrismoA7机型出货量已突破100台。

6

上海微电子

上海微电子装备(集团)股份有限公司(简称SMEE)主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成电路前道、先进封装、FPD面板、MEMS、LED、Power Devices等制造领域

国内唯一的一家从事光刻机研发制造的公司。目前制造用光刻机只能做到90nm,与主流65nm以下还有较大差距。不过,封装使用的光刻机,达到1-2微米就可以使用,上海微电子研发制造的500系列步进投影光刻机,面向IC后道封装和MEMS/NEMS制造领域,国内市场占有率达80%以上。

7

北京京运通:产品主要应用于光伏产业

北京京运通科技股份有限公司成立于2002年8月8日,是一家以高端装备制造、新材料、新能源发电和节能环保四大产业综合发展的集团化企业,主导产品包括单晶硅生长炉、多晶硅铸锭炉、区熔炉等光伏及半导体设备,多晶硅锭及硅片、直拉单晶硅棒及硅片、区熔单晶硅棒及硅片等光伏产品,光伏发电和风力发电等新能源发电项目及蜂窝式中低温SCR烟气脱硝催化剂。

公司于2011年9月8日在上海证券交易所成功上市,股票简称:京运通,股票代码:601908。截至2017年末,公司总资产134.51亿元人民币,净资产65.86亿元人民币。2017年度,公司实现营业收入19.17亿元人民币,实现净利润3.70亿元人民币。

8

天通吉成:产品主要应用于光伏产业

天通吉成机器技术有限公司是天通控股股份有限公司(证券代码: 600330)控股的从事机械装备整机制造的技术密集型中外合资集团化、无区域性企业。

9

盛美半导体

盛美半导体设备(上海)有限公司成立于2005年5月,是一家注册于中国上海张江高科技园区的、具备世界领先技术的半导体设备制造商,公司在华投资逾三亿。是中国一家专注于集成电路制造产业中电镀铜设备、抛铜设备、单晶圆清洗设备的研发及生产的公司。

在清洗机领域能力较强。公司的SAPS技术最高可以应用于65nm制程的硅片清洗;TEBO技术可以实现对FinFET,DRAM,3DNAND,实现覆盖16nm-19nm的制程,产品已经批量应用于上海华力微电子的产线。此外,公司2017年5月在合肥投资3000万美元建立研发中心,与合肥长鑫和兆易创新一起开发DRAM技术。

10

格兰达

格兰达技术(深圳)有限公司是格兰达科技集团的研发中心和制造中心。配备了数百台精密数控加工中心(MAZAK, MAKINO, FANUC, MORISEIKI, AMADA等),形成了研发、设计、生产、制造(模具、钣金、压铸、涂装及装配)等全套集成能力,成为世界一流的半导体、IT、OA、光学、通讯等领域客户的供应商。目前已取得多项自主知识产权和专利。格兰达的产品和解决方案已经应用于数十家全球知名半导体公司和世界500强企业。

总结

全球半导体设备十强里面,只有美日荷三个国家的企业入围。2016年前五大厂商应用材料、ASML、LamResearch、TokyoElectron和KLA-Tencor合计市场份额高达92%,其中应用材料AMAT市场占有率为24%。

荷兰ASML几乎垄断了高端领域的光刻机,市场份额高达80%。ASML新出的EUV光刻机可用于试产7nm制程,价格高达1亿美元。AMAT在CVD设备和PVD设备领域都保持领先,LamResearch是刻蚀机设备领域龙头。

随着我国半导体产业持续快速发展,国内半导体设备业呈现出较快发展的势头。在国家科技重大专项以及各地方政府、科技创新专项的大力支持下,国产半导体设备销售快速稳步增长,多种产品实现从无到有的突破,甚至有些已经通过考核进入批量生产,在国内集成电路大生产线上运行使用。

<上一页12

       

半导体盘点

投诉建议

提交

查看更多评论
其他资讯

查看更多

标杆!工博士入选《2023年度上海市智能机器人标杆企业与应用场景推荐目录》

力为科技-致力于工业自动化和工业物联网技术研发和应用

“2023中国智造基石”揭榜,中科曙光两产品入选

思特威发布工业机器视觉面阵CMOS图像传感器SC038HGS

斯凯孚与振华重工深入合作,助力港航产业可持续、高质量发展